Video-tutorial de la semana

martes, 3 de agosto de 2010

VHDL-Ejemplo #1 Como editar, compilar y simular usando el software Active-HDL

Se presenta en este post el primer video tutorial de una serie de videos que estoy preparando sobre lógica programable, específicamente de VHDL y programación de CPLDs y FPGAs.

El software Active-HDL es usado en varias materias de la especialidad de sistemas digitales de la carrera de ingeniería electrónica del Instituto Tecnológico Superior de Irapuato, se usa para editar, compilar y simular los programas creados en el lenguaje de descripción de hardware llamado VHDL, puedes ver más detalles de lo que es el VHD, CPLDs y FPGAs desde la famosa Wikipedia dando clic en los enlaces de arriba.

Este es el flujo de diseño que se usa por nosotros para la programación de los dispositivos lógicos programables:

Paso 1: Usar el software Active-HDL para crear el programa en el lenguaje VHDL.

Paso 2: Se elige una de dos variantes:

        • Usar el software Xilinx ISE para programar CPLDs o FPGAs de la compañía Xilinx.
        • Usar el software Quartus II para programar FPGAs de la compañía Altera.

Paso 3: Programar el FPGA y se prueba físicamente para ver si hace lo que se pidió.

Eso hacemos aquí en el Instituto, nosotros no nos consideramos expertos en esta área aquí solo se muestra lo que hacemos, si a alguien le sirve excelente para nosotros.

Active-HDL

Este software es sin duda uno de los mejores programas, como ya se mencionó, para editar, compilar y simular los programas en VHDL, el software es diseñado por la compañía estadounidense ALDEC cuya dirección electrónica es la siguiente:

Afortunadamente para nosotros Aldec tiene un programa universitrio y nos ofrece una versión estudiantil 100% funcional totalmente gratuita y que puede ser bajada desde:

 
Solo hay que registrarse para obtenerlo, despues se registra el Active-HDL para obtener una licencia valida para usar el software por todo un año.

Ejemplo #1 Compuerta Ex-Or


Se muestra el uso del Active-HDL realizando un programa en VHDL que describa el funcionamiento de una compuerta or-exclsiva (XOR), la figura siguiente muestra el símbolo y tabla de verdad de esta compuerta para recordarla:







Un programa en VHDL consta de tres partes principales:

          • Librerias
          • Entidad
          • Arquitectura

Dando clic aquí puedes ver detalles del VHDL de su sintaxis desde la wikipedia, el código VHDL para resolver el programa de la ExOR qué es la que nos interesa, se muestra en el siguiente listado, se incluyen comentario para que se entienda mejor.


-- Declaración de librerías
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

-- Declaración de la entidad ---
entity CompuertaXOR is
Port (
  A,B : in std_logic; -- Se declaran las entradas de la compuerta
  F : out std_logic -- Se declara la salida

);
end CompuertaXOR;
-- Declaración de la arquitectura ---
architecture Compuerta of CompuertaXOR is
begin
  F <= A XOR B; -- código para la compuerta XOR
end simple;

Active-HDL crea automáticamente mucho del código anterior usando un asistente (wizard del Active-HDL), prácticamente para este ejemplo, solo se teclea la ecuación, como se verá en el siguiente video, se muestra también como se simula un programa en VHDL.

3 comentarios:

  1. Buen tutorial, me sirve para mi escuela, habia perdido el hilo en la primera clase, pero seguro con esto ya me recuperé, ojala suban muchos más videos y algunas propuestas para proyectos interesantes.

    ResponderEliminar
  2. Hola¡¡¡ Que bueno que te sirvio el video, se tienen planeados muchos más videos solo pedimos poquita paciencia, el proximo video de esta serie es, mostrar como se programa usando el Xilinx ISE.. saludos

    ResponderEliminar
  3. Hola...exelente video, lo use para exponer en una clase...solo que no c como programar otras funciones, como suma de numeros, me gustaria un tutorial, que me puedan explicar como....salu2

    ResponderEliminar